《電子技術(shù)課程設(shè)計 汽車尾燈控制電路》由會員分享,可在線閱讀,更多相關(guān)《電子技術(shù)課程設(shè)計 汽車尾燈控制電路(12頁珍藏版)》請在裝配圖網(wǎng)上搜索。
1、電子技術(shù)課程設(shè)計
——汽車尾燈控制電路
學院
專業(yè)、班級
姓名
學號
指導老師
2、
汽車尾燈控制電路
一、 設(shè)計任務(wù)與要求
(1) 內(nèi)容:用6只發(fā)光二極管模擬6盞汽車尾燈(汽車尾部左、右各3盞),
用兩個開關(guān)作為轉(zhuǎn)彎控制信號(一個開關(guān)控制右轉(zhuǎn)彎,另一個控制左
轉(zhuǎn)彎)。
(2) 要求:當汽車往前行駛(此時兩個都未接通),6盞全滅。當汽車轉(zhuǎn)
彎時,若右轉(zhuǎn)彎(即右轉(zhuǎn)開關(guān)接通),右邊3盞尾燈從左到右順序亮
滅,左邊3盞全滅;若左轉(zhuǎn)彎(即左轉(zhuǎn)開關(guān)接通),左邊3盞尾燈從
右到左順序亮滅,右邊3盞全滅。當左右兩個開關(guān)同時接通時,6盞
尾燈同時明、暗閃爍。
二、 總體框圖
多路選擇器
右邊燈控制模塊
燈
時鐘脈沖
左邊燈控制模塊
3、
燈
時鐘脈沖是一個激勵信號,給左右兩個燈控制模塊脈沖。
多路選擇器是選擇它的有用輸出,在這個實驗中,它有2個輸入,3個輸出
當轉(zhuǎn)到left開關(guān)時,輸出選lfen。當轉(zhuǎn)到right開關(guān)時,輸出選rten.
當兩個都不開時,輸出選lR,它是一個清零端
。
左右邊控制模塊是控制它的輸出,使它們的輸出依次進行。
燈是起到亮滅的作用。
三、選擇器件
(1)
CK起到了給左右兩個模塊一個脈沖。
(2)
CTRL在這里是主控模塊,主要是選擇作用,它的輸入是兩個開關(guān),
輸出主要選擇哪個開關(guān)起到
4、作用。
(3)
LEFTP是一個左燈控制模塊,是一個沿時作用,它使3個輸出燈依
次亮滅。
(4)
RIGHTP是一個右燈控制模塊,也起延時作用,當脈沖到來時,
RIGHTEN為高電平時,輸出的三個燈從左到右依次亮滅。
四、功能模塊
1、(1)時鐘脈沖的VHDL語言
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ck is
port(
5、clk:in std_logic;
cp:out std_logic);
end ck;
architecture a of ck is
signal cnter:std_logic_vector(7 downto 0);
begin
process(clk)
begin
if clk'event and clk='1'then
cnter<=cnter+1;
end if;
end process;
cp<
6、=cnter(3);
end a;
(2)時鐘脈沖的生成符號
CK的功能就是起激勵信號的作用,它給左右兩燈的控制模塊一序列脈沖。
(3)時鐘脈沖的功能仿真圖
2、(1)多路選擇器的VHDL語言
library ieee;
use ieee.std_logic_1164.all;
entity ctrl is
port(left,right:in std_logic;
lfen,rten,lr:out std_logic);
end ctrl;
architecture a o
7、f ctrl is
begin
process(left,right)
variable tmp:std_logic_vector(1 downto 0);
begin
tmp:=left&right;
case tmp is
when"00"=>lfen<='0';
rten<='0';
lr<='0';
when"01"=>lfen<='0';
8、 rten<='1';
lr<='0';
when"10"=>lfen<='1';
rten<='0';
lr<='0';
when others=>lfen<='1';
rten<='1';
lr<='1';
end case;
9、 end process;
end a;
(2)多路選擇器的生成符號
CTRL的功能是選擇作用,當LEFT輸入時,輸出選擇LFEN,當RIGHT輸入時,
輸出選擇RTEN。當兩個都沒輸入,輸出選擇LR清零端。
(3)多路選擇器的真值表
輸入
輸出
LEFT
RIGHT
LFEN
RTEN
LR
0
1
0
1
0
1
0
1
0
0
0
0
0
0
1
(4)多路選擇器的功能仿真圖
當right為高電平時,rten也為高電平。當left為高電平時,lfen也
10、為高
電平.實現(xiàn)了所設(shè)計的功能,起到了選擇器的作用。
3、(1)左邊燈控制模塊的VHDL語言
library ieee;
use ieee.std_logic_1164.all;
entity leftp is
port(leften,clk,lr:in std_logic;
led2,led1,led0:out std_logic
);
end leftp;
architecture a of leftp is
signal tmp:std_logic_vector(2 downto 0);
begin
11、process(clk,leften,lr)
begin
if(clk'event and clk='1')then
if lr='0'then
if leften ='0'then
tmp<="000";
else
if(tmp="000")then
tmp<="001";
else
tmp<=tmp(1 downto 0) & '0';
end if;
end if;
else
tm
12、p<="111";
end if;
end if;
end process;
led2<=tmp(2);
led1<=tmp(1);
led0<=tmp(0);
end a;
(2)左邊燈控制模塊的生成符號
LEFTP實現(xiàn)了一個延時作用,當脈沖信號到來了,輸出的發(fā)光二極管依次
亮滅。當LR端起作用時,三個二極管全滅。
(3)左邊燈控制模塊的真值表
CP
LED0
LED1
LED2
0
0
0
0
1
1
0
13、
0
2
0
1
0
3
0
0
1
(4)左邊燈控制模塊的功能仿真圖
當leften為高電平時,在時鐘脈沖的激勵下,三個燈LED0、LED1、LED2
分別依次亮滅。
4、(1)右邊燈控制模塊的VHDL語言
library ieee;
use ieee.std_logic_1164.all;
entity rightp is
port(righten,clk,lr:in std_logic;
rled2,rled1,rled0:out std_logic);
end rightp;
ar
14、chitecture a of rightp is
signal tmp:std_logic_vector(2 downto 0);
begin
process(clk,righten,lr)
begin
if(clk'event and clk='1')then
if lr='0'then
if righten='0'then
tmp<="000";
else
if(tmp="000")then
tmp<="100";
else
15、 tmp<='0'&tmp(2 downto 1);
end if;
end if;
else
tmp<="111";
end if;
end if;
end process;
rled2<=tmp(2);
rled1<=tmp(1);
rled0<=tmp(0);
end a;
(2)右邊燈控制模塊的生成符號
RIGHT也是實現(xiàn)了一個延時作用,當脈沖信號到來了,輸出的發(fā)光二極管依
次亮滅,但它和左邊燈控制模塊的
16、亮滅順序不一樣。當LR端起作用時,
三個二極管全滅。
(3)右邊燈控制模塊的功能仿真圖
當righten為高電平時,在時鐘脈沖的激勵下,三個燈RLED0、RLED1、
RLED2分別依次亮滅。但它是從RLED2開始亮滅。
(4)右邊燈控制模塊的真值表
CP
LED2
LED1
LED0
0
0
0
0
1
1
0
0
2
0
1
0
3
0
0
1
五、總體設(shè)計電路圖
(1)總體頂層電路原理圖
它是汽車尾燈控制電路,有兩個開關(guān)控制輸入,輸出是
17、6盞發(fā)光二極管。當
選擇左開關(guān)時,左邊的3個燈依次從右到左亮滅,右邊的燈全滅;當選擇
右開關(guān)時,右邊的3個燈依次從左到右亮滅,左邊的燈全滅;當兩開關(guān)都
不選擇時,選擇LR端,它是一個清零端,6盞燈全滅;當兩個都選擇時,
6盞燈都一起依次亮滅。
(2)總體電路原理圖的仿真圖
從這個功能圖可以看出設(shè)計汽車尾燈的正確性。當選擇左開關(guān)時,左邊的3
個燈依次從右到左亮滅,右邊的燈全滅;當選擇右開關(guān)時,右邊的3個燈依
次從左到右亮滅,左邊的燈全滅;當兩開關(guān)都不選擇時,選擇LR端,它是
一個清零端,6盞燈全滅;當兩個都選擇時,6盞燈都一起依
18、次亮滅。
(3)總體電路的管腳分配圖
輸入
左輸出
右輸出
27
CLK
36
Left1
48
Right1
28
LEFT
37
Left2
49
Right2
29
RIGHT
38
Left3
50
Right3
CLKL接時鐘脈沖,LEFT接左開關(guān),RIGHT接右開關(guān),left1、left2、left3接
3個左發(fā)光二極管,right1、right2、right3接3個右發(fā)光二極管。驗證正確。
六、心得體會
心得體會
伴隨著緊張與忐忑,第一次的課程設(shè)計不算
19、圓滿,但終究是完成了?;仡欁约航齻€星期的設(shè)計歷程,還別有一番體會!
作為一個理工科專業(yè)的大三學生,動手做實驗實屬家常便飯,其中也不乏許多高難度的設(shè)計性實驗。但是與設(shè)計性實驗不同的是課程設(shè)計從選題,設(shè)計,實驗,驗證以及到后期的設(shè)計報告和答辯都是在沒有參考資料的情況下獨立完成的,這比設(shè)計性實驗更具有挑戰(zhàn)性。
第一次做課程設(shè)計,難免會有些興奮,這是一次真正的理論知識到實踐成果的轉(zhuǎn)換過程。為了保險起見,選了一個自己理論知識學的比較好的題目——汽車尾燈控制電路。經(jīng)??吹皆S多車的尾燈,曾經(jīng)以為那就是神秘的“高科技”。而今,自己也學到了這樣“高科技”,而且,即將通過自己的設(shè)計與實驗把它轉(zhuǎn)化為能看的到的
20、實踐成果時,內(nèi)心是無比的興奮與激動。
但是畢竟是第一次,還是會有些許的緊張,因為理論終究是理論,實踐起來還是會有許多的困難的。往往在實踐中發(fā)現(xiàn)了許多設(shè)計中的問題,而設(shè)計中又得考慮實踐中的不足。設(shè)計,實踐,修改設(shè)計,再實踐,再修改,再實踐,如此反反復復……
通過這次的課程設(shè)計,還是發(fā)現(xiàn)了自己在學習和實驗中的許多不足,從編程,訪真到選擇器件,繪圖,接線,每一步都會遇到許多的問題,其中不乏一些常規(guī)的,低級的問題。而自己越是著急這樣的錯誤就越多。自己理論知識學的不扎實,動手能力弱的缺點再一次的暴露了出來。
但困難歸困難,不管怎樣,自己總算是完成了這次的課程設(shè)計任務(wù),看著幾個發(fā)光二極管按照所設(shè)計的要求亮滅。心中還是頗有一點成就感的。自己接下來要做的就是認認真真的總結(jié)這次課程設(shè)計中遇到的各種經(jīng)驗教訓,吸取并發(fā)揚其中的長處,改正實踐中的問題和毛病,平時扎扎實實的學好課本上的理論知識,認真的做好每一次的實驗,以便在下一次的實驗中做的更好!