基于vhdl和multisim的病房呼叫系統(tǒng)數(shù)電課設(shè)
《基于vhdl和multisim的病房呼叫系統(tǒng)數(shù)電課設(shè)》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于vhdl和multisim的病房呼叫系統(tǒng)數(shù)電課設(shè)(18頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。
1、 成 績(jī) 評(píng) 定 表 學(xué)生姓名 xxx 班級(jí)學(xué)號(hào) 13030602xx 專 業(yè) 通信工程 課程設(shè)計(jì)題目 病房呼叫系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn) 評(píng) 語(yǔ) 組長(zhǎng)簽字: 成績(jī) 日期 2015 年 月 日 課程設(shè)計(jì)任務(wù)書 學(xué) 院 信息科學(xué)與工程學(xué)院 專 業(yè) 通信工程 學(xué)生姓名 xxx 班級(jí)學(xué)號(hào) 13030602xx 課程設(shè)計(jì)題目 病房呼叫系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn) 實(shí)踐教學(xué)要求與任務(wù): 1、了解數(shù)字系統(tǒng)設(shè)計(jì)方法
2、 2、熟悉掌握VHDL語(yǔ)言及其仿真環(huán)境、下載方法 3、熟悉Multisim環(huán)境 4、設(shè)計(jì)實(shí)現(xiàn)病房呼叫系統(tǒng) 工作計(jì)劃與進(jìn)度安排: 第15周 熟悉設(shè)計(jì)任務(wù)、查閱資料、進(jìn)行原理分析及可行性論證 第16周 在QuartusⅡ環(huán)境中用VHDL語(yǔ)言設(shè)計(jì)實(shí)現(xiàn)病房呼叫系統(tǒng)的程序,在Quartus II環(huán)境中實(shí)現(xiàn)、下載、調(diào)試,在Multisim環(huán)境中仿真設(shè)計(jì)實(shí)現(xiàn)病房呼叫系統(tǒng) ,最后 通過驗(yàn)收、答辯、提交報(bào)告。 指導(dǎo)教師: 2015年 月 日 專業(yè)負(fù)責(zé)人: 2015年 月 日 學(xué)院教學(xué)副院長(zhǎng): 2015年 月 日 摘 要
3、在醫(yī)院能夠最好的為病人服務(wù)是很重要的,但是護(hù)士不能總是在每一位病人身邊。所以,病房呼叫系統(tǒng)對(duì)一個(gè)服務(wù)好的醫(yī)院來說是很必要的。本課設(shè)采用兩種方式,其一,基于VHDL的病房呼叫系統(tǒng)設(shè)計(jì)實(shí)現(xiàn)病房呼叫系統(tǒng)的仿真波形圖。其二,基于multisim的病房呼叫系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn),主要通過8/3線優(yōu)先編碼器74LS148、74LS47D BCD-七段譯碼器、按鍵控制、數(shù)碼管顯示、蜂鳴器報(bào)警和指示燈組成。使得病人可以及時(shí)的通知醫(yī)生,并且醫(yī)生也能夠很快的知道是哪個(gè)病房、哪個(gè)床位的病人在求助。 關(guān)鍵詞:譯碼器; 編碼器;蜂鳴器報(bào)警; 數(shù)碼管顯示 目錄 一、課程設(shè)計(jì)目的 1 二、課設(shè)題目實(shí)現(xiàn)框圖 1
4、三.實(shí)現(xiàn)過程 2 3.1設(shè)計(jì)原理 2 3.2 基于multisim的病房呼叫系統(tǒng)設(shè)計(jì) 3 3.2.1指示燈顯示模塊 3 3.2.2蜂鳴器警示模塊 4 3.2.3病房按鍵輸入模塊 4 3.2.4數(shù)碼管顯示模塊 5 3.2.5總電路模塊 5 3.3 基于VHDL的病房呼叫系統(tǒng)設(shè)計(jì) 6 四、課設(shè)結(jié)果分析 11 4.1 基于multisim的病房呼叫系統(tǒng)結(jié)果分析 11 4.2 基于vhdl 的病房呼叫系統(tǒng)結(jié)果分析 13 五、總結(jié) 13 六、參考文獻(xiàn) 14 14 一、課程設(shè)計(jì)目的 病房呼叫系統(tǒng)是一種應(yīng)用于醫(yī)院病房、養(yǎng)老院等地方的專用呼叫系統(tǒng),用來聯(lián)系溝通
5、醫(yī)護(hù)人員和病員。當(dāng)病員需要醫(yī)護(hù)人員幫助時(shí),可以通過病房呼叫請(qǐng)求。它的應(yīng)用一方面為醫(yī)院或養(yǎng)老院提高護(hù)理水平和護(hù)士的工作效率,減輕護(hù)理人員的勞動(dòng)強(qiáng)度;另一方面也為病員提供了方便,提高病員的舒適程度。病房呼叫系統(tǒng)的應(yīng)用還能規(guī)范醫(yī)院中病區(qū)或養(yǎng)老院內(nèi)的秩序,改善護(hù)理人員和病員的關(guān)系,是現(xiàn)代化醫(yī)院必備的輔助設(shè)備。作為一種多功能智能化的病房護(hù)理輔助設(shè)備,病房呼系統(tǒng)不同于專業(yè)醫(yī)療設(shè)備。病房呼叫系統(tǒng)不是直接采用物理或化學(xué)醫(yī)療手段使被護(hù)理人得到治療,它是直接醫(yī)療手段之外的另一種醫(yī)療輔助手段。對(duì)病員來說,直接的醫(yī)療是必不可少的,但是缺乏細(xì)致的護(hù)理關(guān)懷對(duì)康復(fù)是很不利的。病房呼叫系統(tǒng)就能在這方面起到巨大的作用。 二、
6、課設(shè)題目實(shí)現(xiàn)框圖 電路設(shè)計(jì)共分為四個(gè)模塊: (1)病房按鍵輸入模塊 (2)指示燈顯示模塊 (3)蜂鳴器警示模塊 (4)數(shù)碼管顯示模塊 電路設(shè)計(jì)流程圖: 圖2.1 電路設(shè)計(jì)流程圖 三.實(shí)現(xiàn)過程 3.1設(shè)計(jì)原理 multisim電路設(shè)計(jì)中采用了8/3線優(yōu)先編碼器74LS148、74LS148有8個(gè)數(shù)據(jù)端(0~7),3個(gè)數(shù)據(jù)輸出端(A0~A1),1個(gè)使能輸入端(EI,低電平有效),兩個(gè)輸出端(GS,E0)。數(shù)據(jù)輸出端A~C根據(jù)輸入端的選通變化,分別輸出000~111這0~7二進(jìn)制碼,經(jīng)邏輯組合電路與74LS47D BCD-七段譯碼器/驅(qū)動(dòng)器的數(shù)據(jù)輸入端(A~C)相連,
7、最終實(shí)現(xiàn)設(shè)計(jì)要求的電路功能,電路中與門74LS08DD的輸出端(3、6、8)與74LS147D BCD-七段譯碼器/驅(qū)動(dòng)器的數(shù)據(jù)輸入端的數(shù)據(jù)端(A、B、C)連接。 病房呼叫系統(tǒng)仿真可在Multisim的主界面下,啟動(dòng)仿真開關(guān)即可進(jìn)行電路的仿真。K1~K7為病房呼叫開關(guān),在其下方的Key=1。。。Key=7分別表示按下鍵盤1~7數(shù)字I鍵,即可控制相應(yīng)開關(guān)的通道。L1~L7為模擬病房門口的呼叫指示燈,當(dāng)呼叫開關(guān)K1~K7任何開關(guān)被按下時(shí),相應(yīng)開關(guān)上的指示燈即閃爍發(fā)光,要求當(dāng)一號(hào)病房的按鈕按下時(shí),無論其他病室的按鈕是否按下,護(hù)士值班室的數(shù)碼顯示“1”,即“1”號(hào)病室的優(yōu)先級(jí)別最高,其他病室的級(jí)別依
8、次遞減,7號(hào)病室級(jí)別最低,當(dāng)7個(gè)病房中有若干個(gè)請(qǐng)求呼叫開關(guān)合上時(shí),護(hù)士值班室的數(shù)碼管所顯示的號(hào)碼即為當(dāng)前優(yōu)先級(jí)別最高的病室呼叫號(hào)碼,同時(shí)在有呼叫的病房門口的指示燈閃爍。待護(hù)士按優(yōu)先級(jí)處理完后,將該病房的呼叫開關(guān)打開,再去處理下一個(gè)相對(duì)最高優(yōu)先級(jí)的病房的事務(wù)。同時(shí)護(hù)士值班室的數(shù)碼管即顯示相對(duì)最高優(yōu)先級(jí)別的病房號(hào),而且蜂鳴器SP會(huì)令計(jì)算機(jī)上的揚(yáng)聲器發(fā)聲。全部處理完畢后,即沒有病室呼叫,此時(shí)值班室的數(shù)碼管顯示“0”。 3.2 基于multisim的病房呼叫系統(tǒng)設(shè)計(jì) 3.2.1指示燈顯示模塊 圖3.2.1 指示燈顯示電路 3.2.2蜂鳴器警示模塊 圖3.2.2 上拉電阻電路
9、 圖3.2.3蜂鳴器警示電路 3.2.3病房按鍵輸入模塊 圖3.2.4 病房按鍵輸入 3.2.4數(shù)碼管顯示模塊 圖3.2.5 數(shù)碼管顯示電路 3.2.5總電路模塊 圖3.2.6 病房呼叫系統(tǒng)總電路 3.3 基于VHDL的病房呼叫系統(tǒng)設(shè)計(jì) 為實(shí)現(xiàn)病房呼叫系統(tǒng)的功能,可用VHDL編寫一個(gè)程序?qū)崿F(xiàn),具體操作過程如下: (1) 點(diǎn)擊File->New創(chuàng)建一個(gè)設(shè)計(jì)文件。 圖3.3.1 創(chuàng)建一個(gè)設(shè)計(jì)文件 (2)選擇設(shè)計(jì)文件的類型為VHDL File。 (3)點(diǎn)擊OK,系統(tǒng)顯示如圖3.2.2,窗口右側(cè)為VHDL的編輯窗口。 圖3
10、.3.2 VHDL的編輯窗口 (4) 在編輯窗口中編輯以下程序 library ieee; use ieee.std_logic_1164.all; entity we is port( d:in std_logic_vector (7 downto 0); leds:out std_logic_vector(6 downto 0); q:buffer std_logic_vector(6 downto 0)); end we; architecture one of we is signal encode: st
11、d_logic_vector(2 downto 0); begin process(d,encode) begin if d(1)=1 then encode<= "001" ; elsif d(2)=1 then encode<= "010"; elsif d(3)=1 then encode<= "011"; elsif d(4)=1 then encode<="100"; elsif d(5)=1 then encode <="101";
12、 elsif d(6)=1 then encode<="110"; elsif d(7)=1 then encode <="111"; elsif d(0)=1 then encode<="000"; end if; end process; process(d,q) begin if d(1)=1 then q(0)<=1; else q(0)<=0; end if; if
13、 d(2)=1 then q(1)<=1; else q(1)<=0; end if; if d(3)=1 then q(2)<=1; else q(2)<=0; end if; if d(4)=1 then q(3)<=1; else q(3)<=0; end if; if d(5)=
14、1 then q(4)<=1; else q(4)<=0; end if; if d(6)=1 then q(5)<=1; else q(5)<=0; end if; if d(7)=1 then q(6)<=1; else q(6)<=0; end if; end process; process(
15、encode) begin case encode is WHEN "001" => leds <= "0110000"; WHEN "010" => leds <= "1101101"; WHEN "011" => leds <= "1111001"; WHEN "100" => leds <= "0110011"; WHEN "101" => leds <= "1011011"; WHEN "110" => leds <= "1011111"; WHEN "111" => leds <= "
16、1110000"; WHEN OTHERS => leds <="1111110" ; END CASE ; end process; END one; (5) 輸入程序后,存盤。 圖3.3.3存盤 (6) 點(diǎn)擊Processing->Star Compilation編譯該文件,系統(tǒng)將開始編譯,結(jié)束后,給出提示信息和編譯結(jié)果。 圖3.3.4 編譯結(jié)果成功 (7)建立時(shí)序仿真文件,選擇VectorWaveformFile在Name空白處點(diǎn)擊右鍵,Insert->Insert Node or Bus,中單擊Node Finder,點(diǎn)擊List,再點(diǎn)擊’
17、>>’ -> ‘OK’ -> ’OK’ 圖3.3.5 建立時(shí)序仿真文件 (8)仿真結(jié)果如圖 圖3.3.6波形仿真圖 四、課設(shè)結(jié)果分析 4.1 基于multisim的病房呼叫系統(tǒng)結(jié)果分析 當(dāng)按下按鍵1時(shí),1號(hào)病房的指示燈閃爍,蜂鳴器作用,并且護(hù)士值班室的數(shù)碼管顯示器顯示數(shù)字“1”。依次推理,當(dāng)其他病房分別按下按鍵時(shí),其他病房門口的指示燈也會(huì)閃爍,蜂鳴器作用,護(hù)士值班室的數(shù)碼管顯示器會(huì)分別顯示其他病房的號(hào)碼。作用結(jié)果如下圖所示: 按下按鍵1,一號(hào)病房指示燈閃爍,數(shù)碼管顯示1,蜂鳴器作用 圖4.1.1 1開關(guān)按下時(shí) 按照優(yōu)先級(jí),當(dāng)1號(hào)
18、跟其他一些號(hào)碼被按下時(shí),數(shù)碼管優(yōu)先顯示數(shù)字“1” 圖4.1.2 多個(gè)開關(guān)按下時(shí) 4.2 基于vhdl 的病房呼叫系統(tǒng)結(jié)果分析 一號(hào)、二號(hào)、三號(hào)、四號(hào)、五號(hào)、六號(hào)、七號(hào)病房病人按下呼叫按鈕時(shí),由于指示燈不分優(yōu)先級(jí),指示燈都會(huì)亮,然而數(shù)碼管的顯示則跟病房的優(yōu)先級(jí)密切相關(guān)。優(yōu)先級(jí)高的顯示,優(yōu)先級(jí)低的,需等待優(yōu)先級(jí)高的被處理之后再行處理。例如仿真圖中當(dāng)一號(hào)和七號(hào)的按鈕被摁下時(shí),一號(hào)和七號(hào)的指示燈都會(huì)亮,但是數(shù)碼管的數(shù)是一,優(yōu)先級(jí)高的先進(jìn)行處理。當(dāng)只有一個(gè)病房的按鈕被摁下時(shí),指向該病房的指示燈亮,數(shù)碼管顯示該病房的號(hào)碼。 五、總結(jié) 在本次數(shù)字電路課程設(shè)計(jì)中,病房呼叫
19、系統(tǒng)共完成五個(gè)模塊: (1) 病房按鍵輸入模塊:當(dāng)病人有需要醫(yī)生的時(shí)候只需摁下按鍵。 (2) 指示燈顯示模塊:當(dāng)有病人摁下按鍵時(shí),指向該病房的指示燈會(huì)亮,進(jìn)而通知醫(yī)生。 (3) 蜂鳴器警示模塊:當(dāng)有病人摁下按鍵時(shí),蜂鳴器會(huì)響,通知醫(yī)生有情況。 (4) 數(shù)碼管顯示模塊:當(dāng)多個(gè)病人同時(shí)摁下按鍵時(shí),數(shù)碼管顯示優(yōu)先級(jí)高病房的號(hào)碼。優(yōu)先級(jí)高的先進(jìn)行處理。 (5) 總電路模塊:當(dāng)按鍵摁下時(shí),指示燈會(huì)亮,蜂鳴器會(huì)響,數(shù)碼管顯示病房號(hào)碼。 由于是第一次做數(shù)字電路的課程設(shè)計(jì),對(duì)于相關(guān)的設(shè)計(jì)過程和分析方法并不太熟練。遇到了不少問題,在向老師和同學(xué)請(qǐng)教的過程中,改正了不少的錯(cuò)誤認(rèn)識(shí),對(duì)數(shù)字電路的設(shè)計(jì)與分析方法的掌握也有了一定的提高,我相信這些知識(shí)與經(jīng)驗(yàn)對(duì)以后的學(xué)習(xí)會(huì)有極大的幫助,最后要謝謝老師同學(xué)們的指導(dǎo)。 六、參考文獻(xiàn) 1. 數(shù)字電子技術(shù)基礎(chǔ)簡(jiǎn)明教程(第三版) 2. 潘松,王國(guó)棟.VHDL實(shí)用教程.電子科技大學(xué)出版社, 2001. 3. 焦素敏 數(shù)字電子技術(shù)基礎(chǔ)(第二版) 人民郵電出版社 4. 康華光 主編,電子技術(shù)基礎(chǔ)(數(shù)字部分 第五版),高等教育出版社,2008.1.
- 溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 火力發(fā)電廠各設(shè)備的主要作用大全
- 3.高壓電工考試判斷練習(xí)題含答案
- 企業(yè)電氣防爆知識(shí)
- 13 低壓電工電工作業(yè)模擬考試題庫(kù)試卷含答案
- 電氣設(shè)備維修的十項(xiàng)原則
- 2.電氣電纜與直流模擬考試復(fù)習(xí)題含答案
- 電氣節(jié)能措施總結(jié)
- 2.電氣電機(jī)(一)模擬考試復(fù)習(xí)題含答案
- 接地電阻測(cè)量原理與測(cè)量方法
- 3.高壓電工作業(yè)模擬考試題庫(kù)試卷含答案
- 礦山維修電工安全技術(shù)操作規(guī)程
- 電工基礎(chǔ)口訣總結(jié)
- 3.某電廠值長(zhǎng)面試題含答案解析
- 電工基礎(chǔ)知識(shí)順口溜
- 配電系統(tǒng)詳解